Vývojové nástroje: Vysvětlení kodu ve VHDL

Zdravím,

potřeboval bych prosím Vás poradit, co který kod dělá a k čemu je, aspoň málo, jestli se v tom vyznáte, tak mi to pomůže děkuji.

Zadání projektu:

Vytvořte hrací automat ve VHDL.
AUtomat bude zobrazovat 4 měnící se symboly na dvou maticových LED displejích, hráč se bude snažit zastavit dva a více stejných symbolů pomocí tlačítka, automat bude za správné zastavení, automat bude za správné zastavení přičítat body a za špatné body odečítat.
-----------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------
Kody k vysvětlení (jaká je jejich uloha atp.) - začínám je vypisovat od začátku
1)

1 library ieee;
2 use ieee.std_logic_1164.all;
3 use ieee.std_logic_unsigned.all;
4 use ieee.std_logic_arith.all;

2]

5
6 entity automat is
7 port
8 (
9 clk : in std_logic;
10 segment_1 : out std_logic_vector(7 downto 0);
11 segment_2 : out std_logic_vector(7 downto 0);
12 led_1 : out std_logic_vector(7 downto 0);
13 led_2 : out std_logic_vector(7 downto 0);
14 key : in std_logic
15 );
16 end automat;

4)
54 begin
55
56 process(clk
57 begin
58 if(rising_edge(clk) ) then
59 cnt

Návrat 22.6.2016 Odpovědi: (1) Odpovědět

Dobrý den,
obávám se, že zde Vám jen tak někdo neodpoví. Zkuste se poohlédnout po specializovaném vývojářském fóru.

Honza (administrátor) 27.6.2016
Přispívat mohou pouze přihlášení uživatelé

Doporučujeme

On-line hry

Jelly Shift Parkour Climb and Jump Watermelon Shooter Crowd City 2 Princesses Sunflower Delight Stairs Ketchapp Game Survivor In Rainbow Monster Drop Maze Race Monster Truck
Nahoru